25 March 2015

Pengertian Surat dan Contohnya (English Format)


Pengertian Surat

Surat adalah sarana komunikasi untuk menyampaikan informasi tertulis oleh suatu pihak kepada pihak lain. Fungsinya mencakup lima hal: sarana pemberitahuan, permintaan, buah pikiran, dan gagasan; alat bukti tertulis; alat pengingat; bukti historis; dan pedoman kerja.Pada umumnya, dibutuhkan perangko dan amplop sebagai alat ganti bayar jasa pengiriman. Semakin jauh tujuan pengiriman surat maka nilai yang tercantum di perangko harus semakin besar juga.

Jenis Surat

Surat secara umum digolongkan menjadi tiga yaitu surat pribadi, surat dinas, dan surat niaga apabila ditinjau dari segi bentuk, isi, dan bahasanya. Sedangkan apabila digolongkan berdasarkan berdasarkan pemakaiannya dapat dibagi menjadi tiga yaitu surat pribadi, surat resmi, dan surat dinas.

Surat pribadi
Surat pribadi adalah surat yang digunakan untuk kepentingan pribadi. Surat dapat berupa korespondensi antara sesama teman atau keluarga. Ciri-ciri surat pribadi yaitu:
1. Tidak menggunakan kop surat
2. Tidak ada nomor surat
3. Salam pembuka dan penutup bervariasi
4. Penggunaan bahasa bebas, sesuai keinginan penulis
5. Format surat bebas
Surat Resmi
Surat resmi adalah surat yang digunakan untuk kepentingan resmi, baik perseorangan, instansi, maupun organisasi; misalnya undangan, surat edaran, dan surat pemberitahuan. Ciri-ciri surat resmi:
1.Menggunakan kop surat apabila dikeluarkan organisasi
2.Ada nomor surat, lampiran, dan perihal
3.Menggunakan salam pembuka dan penutup yang lazim
4.Penggunaan ragam bahasa resmi
5.Menyertakan cap atau stempel dari lembaga resmi
6.Ada aturan format bakuBagian-bagian surat resmi:
· Kepala/kop surat
Kop surat terdiri dari:
1. Nama instansi/lembaga, ditulis dengan huruf kapital/huruf besar.
2. Alamat instansi/lembaga, ditulis dengan variasi huruf besar dan kecil
3. Logo instansi/lembaga
· Nomor surat, yakni urutan surat yang dikirimkan
· Lampiran, berisi lembaran lain yang disertakan selain surat
· Hal, berupa garis besar isi surat
· Tanggal surat (penulisan di sebelah kanan sejajar dengan nomor surat)
· Alamat yang dituju (jangan gunakan kata kepada)
· Pembuka/salam pembuka (diakhiri tanda koma)
· Isi surat
Uraian isi berupa uraian hari, tanggal, waktu, tempat, dan sebagainya ditulis dengan huruf kecil, terkecuali penulisan berdasarkan ejaan yang disempurnakan (EYD) haruslah menyesuaikan.
· Penutup surat
Penutup surat, berisi:
1. salam penutup
2. jabatan
3. tanda tangan
4. nama (biasanya disertai nomor induk pegawai atau NIP)
· Tembusan surat, berupa penyertaan/pemberitahuan kepada atasan tentang adanya suatu kegiatan
Surat Niaga
Surat niaga digunakan bagi badan yang menyelenggarakan kegiatan usaha niaga seperti industri dan usaha jasa. Surat ini sangat berguna dalam membangun hubungan dengan pihak luar sehingga harus disusun dengan baik. Surat niaga terdiri atas surat jual beli, kwintansi, dan perdagangan; dan dapat dibagi atas surat niaga internal dan surat niaga eksternal. Salah satu contoh dari surat niaga adalan surat penawaran dan surat penagihan.
Surat Dinas
Surat dinas digunakan untuk kepentingan pekerjaan formal seperti instansi dinas dan tugas kantor. Surat ini penting dalam pengelolaan administrasi dalam suatu instansi. Fungsi dari surat dinas yaitu sebagai dokumen bukti tertulis, alat pengingat berkaitan fungsinya dengan arsip, bukti sejarah atas perkembangan instansi, dan pedoman kerja dalam bentuk surat keputusan dan surat instruksi. Ciri-ciri surat dinas:
1. Menggunakan kop surat dan instansi atau lembaga yang bersangkutan
2. Menggunakan nomor surat, lampiran, dan perihal
3. Menggunakan salam pembuka dan penutup yang baku
4. Menggunakan bahasa baku atau ragam resmi
5. Menggunakan cap atau stempel instansi atau kantor pembuat surat
6. Format surat tertentu
Surat Lamaran Pekerjaan
Surat lamaran pekerjaan adalah surat yang dibuat dan dikirimkan oleh seseorang yang ingin bekerja di sebuah kantor, perusahaan ataupun instansi tertentu. Surat lamaran pekerjaan termasuk surat dinas atau resmi. Oleh karena itu, terdapat aturan-aturan tertentu yang harus diperhatikan dalam penulisannya. Secara umum surat memiliki bagian-bagian seperti berikut ini:
· Kepala surat
· Tempat dan tanggal pembuatan surat
· Nomor surat
· Lampiran
· Hal atau perihal
· Alamat tujuan
· Salam pembuka
· Isi surat yang terbagi lagi menjadi tiga bagian pokok yaitu :
1. paragraf pembuka
2. isi surat
3. paragraf penutup
· Salam penutup
· Tanda tangan dan nama terang
Contoh Surat Dalam Format Bahasa Inggris
Ulfah

Jl. Komplek Perum Griya Asri Indah, 16

Bogor

Hello my friend, how are you there? I hope you are good. I miss you because we have not met in a long time. Do you miss me too? If you are on vacation, I hope you can visit Lampung. I’m sure you will love it because it is a beautiful city. I will take you to many places like Kiluan bay and to the most beautiful places in Indonesia. I know that you love surfing and I have so many secret places for you to surf, so I recommend you to visit here a couple of weeks.
Hopefully we can meet soon, my best friend..

Your friend,

Rian
Link Refrensi: http://www.caramudahbelajarbahasainggris.net/2014/05/2-contoh-surat-pribadi-dalam-bahasa-inggris-tentang-liburan-beserta-artinya.html

12 November 2014

Kodingan VHDL D Flip Flop

VHDL Code For D-FF Structural Model
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity dffst is
Port ( d,clk : in  STD_LOGIC;
q,qb : inout STD_LOGIC);
end dffst;
architecture dffstar of dffst is
component nand21
port(a,b: in STD_LOGIC;
y:out STD_LOGIC);
end component;
signal d1,s1,r1:STD_LOGIC;
begin
n0: nand21 port map(d,clk,s1);
n1: nand21 port map(d,d,d1);
n2: nand21 port map(d1,clk,r1);
n3: nand21 port map(s1,qb,q);
n4: nand21 port map(r1,q,qb);
end dffstar;
nand gate
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity nand21 is
Port ( a,b : in  STD_LOGIC;
y : out  STD_LOGIC);
end nand21;
architecture Behavioral of nand21 is
begin
y <= a nand b;
end Behavioral;
<script async src="https://pagead2.googlesyndication.com/pagead/js/adsbygoogle.js?client=ca-pub-6283576016463792"
     crossorigin="anonymous"></script>
<ins class="adsbygoogle"
     style="display:block; text-align:center;"
     data-ad-layout="in-article"
     data-ad-format="fluid"
     data-ad-client="ca-pub-6283576016463792"
     data-ad-slot="1023410235"></ins>
<script>
     (adsbygoogle = window.adsbygoogle || []).push({});
</script>

VHDL Code For D-FF Behavioral Model

VHDL Code For D-FF Behavioral Model
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity dffbeh is
Port ( d,clk : in  STD_LOGIC;
q,qb : inout STD_LOGIC);
end dffbeh;
architecture dffbehar of dffbeh is
begin
process(d,clk)
begin
if(clk’event and clk=’1′) then q<=d; qb<=not d;
end if;
end process;
end dffbehar;

VHDL Code For D-FF Data Flow Model

VHDL Code For D-FF Data Flow Model
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity dffdf is
Port ( d,clk : in  STD_LOGIC;
q,qb : inout STD_LOGIC);
end dffdf;
architecture dffdfar of dffdf is
signal d1,s1,r1:STD_LOGIC;
begin
s1 <= d nand clk;
d1 <= d nand d;
r1 <= d1 nand clk;
q <= s1 nand qb;
qb <= r1 nand q;
end dffdfar;

Pengertian D dan T Flip Flop

Pengertian D dan T Flip-flop



Pengertian D Flip-flop

Data flip-flop merupakan pengembangan dari RS flip-flop, pada D flip-flop kondisi output terlarang (tidak tentu) tidak lagi terjadi. Data flip-flop sering juga disebut dengan istilah D-FF sehingga lebih mudah dalam penyebutannya. Data flip-flop merupakan dasar dari rangkaian utama sebuah memori penyimpan data digital. Input atau masukan pada RS flip-flop adalah 2 buah yaitu R (reset) dan S (set), kedua input tersebut dimodifikasi sehingga pada Data flip-flop menjadi 1 buah input saja yaitu input atau masukan D (data) saja.
Model modifikasi RS flip-flop menjadi D flip-flop adalah dengan penambahan gerbang NOT (Inverter) dari input S ke input R pada RS flip-flop seperti telihat pada gambar dasar D flip-flop berikut.






Gambar Rangkaian Dasar D Flip-Flop

Pada gambar diatas input Set (S) dihubungkan ke input Reset (R) pada RS flip-flop menggunakan sebuah inverter sehingga terbentuk input atau masukan baru yang diberi nama input Data (D). Dengan kondisi tersebut maka RS flip-flop berubah menjadi Data Flip-Flop (D-FF). Pada perkembanganya D flip flop ini ditambahkan dengan input atau masukan control berupa enable/clock seperti ditunjukan pada gambar berikut.




Gambar Data Flip-Flop Dengan Enable/Clock

Gambar diatas memperlihatkan Data flip-flop yang dilengkapi denganmasukan enable/clock. Fungsi input enable/clock diatas adalah untuk menahan data masukan pada jalur Data (input D) agar tidak diteruskan ke rangkaian RS flip-flop. Prinsip kerja dari rangkaian Data flip-flop dengan clock diatas adalah sebagai berikut.
  1. Apabila input clock berlogika 1 “High” maka input pada jalur data akan di teruskan ke rangkaian RS flip flop, dimana pada saat input jalur Data 1 “High” maka kondisi tersebut adalah Set Q menjadi 1 “High” dan pada saat jalur Data diberikan input 0 “Low” maka kondisi yang terjadi adala Reset Q menjadi 0 “Low”.
  2. Kemudian Pada saat input Clock berlogika rendah maka data output pada jalur Q akan ditahan (memori 1 bit) walaupun logika pada jalur input Data berubah. Kondisi inilah yang disebut sebagai dasar dari memor 1 bit. Untuk lebih jelasnya dapat dilihat pada tabel Data flip-flop berikut.

Tabel Kebenaran Data Flip-Flop
Clock/Enable
D
Q
Q’
Keterangan
1
0
0
1
Reset Q ke 0
1
1
1
0
Set Q ke 1
0
0
1
0
Menahan kondisi Q terakhir
0
1
1
0
Menahan kondisi Q terakhir


Dari tabel kebenaran diatas terlihat bahwa Data flip-flop merupakan dasar dari pembuatan memori digital 1 bit. Data Flip-flop sering juga disebut sebagai D-latch.
Flip-Flop T
Nama flip-flop T diambil dari sifatnya yang selalu berubah keadaan setiap ada sinyal  pemicu  (trigger) pada masukannya. Flip-flop T atau flip-flop toggle adalah flip-flop J-K yang kedua masukannya (J dan K) digabungkan menjadi satu sehingga hanya ada satu jalan masuk. Karakteristik dari flip-flop ini adalah kondisi dari keluaran akan selalu toogle atau selalu berlawanan dengan kondisi sebelumnya. Input T merupakan satu-satunya masukan yang ada pada flip-flop jenis ini sedangkan keluarannya tetap dua, seperti semua flip-flop pada umumnya. Kalau keadaan keluaran flip-flop 0, maka setelah adanya sinyal pemicu keadaan-berikut menjadi 1 dan bila keadaannya 1, maka setelah adanya pemicuan keadaannya berubah menjadi 0. Karena sifat ini sering juga flip-flop ini disebut sebagai flip-flop toggle (berasal dari skalar toggle/pasak).

Qn
T
Qn+1
1
1
0
0
1
1
1
0
0
0
0
0
T
Qn+1
0
Qn
1
/Qn






Tabel Kebenaran: T flip-flop

Pada saat T = 0 maka Qn+1 = Qn. Pada saat T = 1 maka Qn+1 = /Qn
Rangkaian T flip-flop atau Togle flip-flop dapat dibentuk dari modifikasi clocked RS-FF, D-FF maupun JK-FF. T-FF mempunyai sebuah terminal input T dan dua buah terminal output Q dan Qnot. T-FF banyak digunakan pada rangkaian Counter, frekuensi deviden dan sebagainya.

Contoh Proposal

PROPOSAL KEGIATAN
DALAM RANGKA PERINGATAN HUT RI KE-65
I. PENDAHULUAN
I.1 LATAR BELAKANG
Tema HUT RI ke-65: “Dengan Semangat Proklamasi 17 Agustus 1945, Kita LanjutkanPembangunan Ekonomi Menuju PeningkatanKesejahteraan Rakyat, serta Kita Perkuat Ketahanan Nasional Menghadapi Tantangan Global”.
I.2 MAKSUD DAN TUJUAN
I.2.1 Maksud
Adapun maksud diadakannya kegiatan ini adalah sebagai bentuk rasa syukur kepada Tuhan YMEdan kegembiraan dalam menyambut Hari Ulang Tahun kemerdekaan Republik Indonesia yang ke-65 pada tanggal 17 Agustus 2010.
I.2.2 Tujuan Kegiatan
Adapun tujuan diadakannya acara ini :
a. Mempererat tali silaturahmi antar sesama warga RT 02/RW 26 perumahan Taman Wisma Asri, Kel. Teluk Pucung – Bekasi Utara
b. Meningkatkan semangat juang dalam meraih prestasi diantara anak-anak.
c. Memupuk jiwa sportifitas dalam berlomba di antara anak-anak
d. Memupuk semangat kebangsaan antar generasi untuk memperkuat ketahanan nasional menghadapi tantangan global.
I.3 DASAR KEGIATAN
Kegiatan ini dilaksanakan berdasarkan :
1. Pancasila sila ke-3, “Persatuan Indonesia”.
2. Petunjuk dan arahan bapak Ketua RW 26 tentang pelaksanaan kegiatan  dalam rangka peringatan HUT RI ke-65 di tingkat RT dilingkungan RW 26 perumahan Taman Wisma Asri, Kel. Teluk Pucung – Bekasi Utara.
II. ISI PROPOSAL
II.1 TEMA KEGIATAN
Kegiatan yang mengedepankan kebersamaan warga antar generasi serta kegiatan anak-anak yang bersifat mengembangkan daya kreatifitas, ketrampilan, ketangkasan dan sportifitas.
II.2 MACAM KEGIATAN
1. Acara syukuran HUT RI ke-65 , 17 Agustus 2010
a. Syukuran & Doa
b. Santap Malam Bersama & Ramah Tamah
Detil pelaksanaan akan ditetapkan kemudian
2. Perlombaan balita dan anak-anak
a. Tingkat Balita (usia 0 – 5 tahun) 3 lomba
b. Tingkat SD (usia 6 – 12 tahun) 5 lomba
c. Tingkat SMP – SMA (usia 13 – 18 tahun) 6 lomba
Jenis perlombaan akan ditetapkan kemudian
II.3 PESERTA
Seluruh warga RT 02/RW 26 perumahan Taman Wisma Asri, Kel. Teluk Pucung – Bekasi Utara.


II.4  WAKTU dan TEMPAT PELAKSANAAN
a. Perlombaan balita, anak-anak dan remaja
Hari, tanggal             : Selasa, 17 Agustus 2010
Waktu             : Pukul 07.30 WIB s.d. selesai
Tempat           : Lapangan Volley RT 02/RW 26 Perumahan  Taman Wisma Asri.
b. Acara syukuran HUT RI ke-65
Hari, tanggal             : Selasa, 24 Agustus 2010
Waktu             : Pukul 19.30 WIB s.d. selesai
Tempat           : Lapangan Volley RT 02/RW 26 Perumahan Taman Wisma Asri.
II.5  SUSUNAN KEPANITIAAN
Pelindung                             : Tuhan Yang Maha Esa
Penasehat                            : Bapak Ketua RW 26
Penanggung Jawab           : Bapak Ketua RT 02/RW 26
*Panitia Pelaksana*
Ketua Pelaksana                 : Ade Supriyadi
Sekretaris                              : Sindhu K.I. Noegroho
Bendahara                            : Evi
*Seksi-seksi*
1. Seksi Acara Malam Syukuran
Koordinator   : Iwan
Anggota         : Endah, Bambang, Iis, Novi, Fauzi, Ari ,Sindhu, Abdul Rahman, Didi.
2. Seksi Perlombaan Anak-Anak
Koordinator               : Sigit
Anggota                     : Tuti, Kris, Susi, Evi, Linda, Agus, Didi, Tuing,  Daus.
3. Seksi Umum & Dokumentasi
Koordinator               : Didik Suryadi
Anggota                     : Sindhu, Daus
II.6  JADWAL PELAKSANAAN KEGIATAN
Jadwal rinci pelaksanaan kegiatan akan ditetapkan dan diumumkan kemudian.


III. ESTIMASI BIAYA
III.1 PENGELUARAN
1. Seksi Kesekretariatan
- Pembuatan Proposal                                Rp. 25.000
- Foto kopi                                                     Rp. 25.000
2. Seksi Acara Malam Syukuran
- Konsumsi                                                   Rp. 800.000
- Hiburan Organ Tunggal                           Rp. 1.000.000
3. Seksi Perlombaan Anak-Anak
- Alat dan bahan perlombaan                   Rp. 100.000
- Hadiah-hadiah                                           Rp. 800.000
- Snack untuk 60 orang @Rp. 10.000     Rp. 600.000
4. Seksi Umum & Dokumentasi
- Cuci cetak foto                                           Rp. 50.000
- Transport                                                     Rp. 100.000
Total                                                                          Rp. 3.500.000
Terbilang: (Tiga Juta Lima Ratus Ribu Rupiah)
III.2 SUMBER DANA
Kegiatan ini memperoleh dana dari :
- Bantuan kas RT                                                                 Rp.500.000
- Arisan ibu-ibu                                                                    Rp.250.000
- Donasi para donatur RT02/RW26 yang budiman       Rp.1.750.000
- Partisipasi warga minimal Rp. 25.000/rumah              Rp.1.000.000
Total Rp. 3.500.000
Terbilang:     (Tiga Juta Lima Ratus Ribu Rupiah)


IV. PENUTUP
Demikian proposal ini kami buat. Kami mengharapkan dukungan dan      partisipasi Bapak/Ibu. Semoga acara ini dapat terlaksana sebagaimana yang kita harapkan. Atas perhatian dan kerjasama Bapak/Ibu, kami ucapkan terima      kasih.
LEMBAR PENGESAHAN
Ketua Pelaksana                                                                             Sekretaris
Ade Supriyadi                                                                      Sindhu Kurnia Irawan
Menyetujui,
KETUA RT 02 /RW 26 Kel. Teluk Pucung – Bekasi Utara
Mochamad Irsan M

Rian's post in IFTTT Rian

@IFTTT